电脑桌面
添加小米粒文库到电脑桌面
安装后可以在桌面快捷访问

四位二进制数可控加减法上海交通大学电子技术试验大作业VIP专享VIP免费

四位二进制数可控加减法上海交通大学电子技术试验大作业_第1页
四位二进制数可控加减法上海交通大学电子技术试验大作业_第2页
四位二进制数可控加减法上海交通大学电子技术试验大作业_第3页
1 《四位二进制数可控加减法》实验报告实验名称 : 四位二进制数可控加减法姓名:学号:班级:2 目录一、实验方案 ................................................................................................................ 3二、设计思路 ................................................................................ 错误 !未定义书签。三、程序代码 ................................................................................ 错误 !未定义书签。四、调试问题 ................................................................................................................ 6五、心得感想 ................................................................................................................ 73 一、 实验方案1)基本功能实现两个四位二进制数的加减法运算, 能够在 led 灯和数码管显示出结果。2)清零功能利用一个微动开关,当微动开关按下时结果清零显示。3)数码管显示将结果转换为七段显示器显示。将运算结果输送到数码管中。利用到人的视觉误差和短暂延时显示四位运算结果。4)溢出问题若有溢出,则数码管显示“E”。二、 设计思路基本功能中分为连个模块, 主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。扩展功能中数码管显示要利用暂留现象,因此利用时钟clk 来进行设计。三、 程序代码module show_sub(input [1:0]num,output reg [6:0] a_to_g );always @(*)case(num)2'b00: a_to_g=7'b1000000;2'b01: a_to_g=7'b1111001;2'b10: a_to_g=7'b1111111;2'b11: a_to_g=7'b0000110;4 default: a_to_g=7'b0000110;endcaseendmodulemodule show_top(input clk,clr,input wire [7:0] sw,input plus,sub,output wire [6:0] a_to_g,output reg [3:0] an,output reg [3:0] led );reg [15:0] clk_cnt;wire [1:0]s;reg [3:0] result; //运算结果reg [1:0] res;reg flag; //溢出标志wire [3:0] data1;wire [3:0] data2;assign data1=sw[7:4];assign data2=sw[3:0];assign s=clk_cnt[15:14];always @(posedge clk)beginclk_cnt=clk_cnt+1;endalways@(posedge plus or posedge sub or posedge clr)

1、当您付费下载文档后,您只拥有了使用权限,并不意味着购买了版权,文档只能用于自身使用,不得用于其他商业用途(如 [转卖]进行直接盈利或[编辑后售卖]进行间接盈利)。
2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。
3、如文档内容存在违规,或者侵犯商业秘密、侵犯著作权等,请点击“违规举报”。

碎片内容

确认删除?
VIP
微信客服
  • 扫码咨询
会员Q群
  • 会员专属群点击这里加入QQ群
客服邮箱
回到顶部